GeeksforGeeks

1. Verilog :
Verilog ist eine Hardware Description Language (HDL). Es ist eine Computersprache, die verwendet wird, um die Struktur und das Verhalten von elektronischen Schaltungen zu beschreiben. 1983 begann die Verilog-Sprache als proprietäre Sprache für die Hardwaremodellierung bei Gateway Design Automation Inc. später wurde sie 1995 zum IEEE-Standard 1364 und wurde immer weiter verbreitet. Verilog basiert auf der Testbench auf Modulebene.

2. Systemübersicht :
SystemVerilog ist eine Kombination aus Hardware Description Language (HDL) und Hardware Verification Language (HVL) und wird als HDVL bezeichnet. Bedeutet, dass es die Struktur und das Verhalten elektronischer Schaltungen beschreibt und die in einer Hardwarebeschreibungssprache geschriebenen elektronischen Schaltungen überprüft. SystemVerilog fungiert als Obermenge von Verilog mit vielen Erweiterungen der Verilog-Sprache im Jahr 2005 und wurde IEEE Standard 1800 und erneut aktualisiert im Jahr 2012 als IEEE 1800-2012 Standard. SystemVerilog basiert auf einer Testbench auf Klassenebene, die dynamischer ist.

Unterschied zwischen Verilog und SystemVerilog :

S.No . PROTOKOLL SYSTEMPROTOKOLL
Verilog ist eine Hardware Description Language (HDL). SystemVerilog ist eine Kombination aus Hardware Description Language (HDL) und Hardware Verification Language (HVL).
Verilog Sprache wird verwendet, um elektronische Systeme zu strukturieren und zu modellieren. Die SystemVerilog-Sprache wird zum Modellieren, Entwerfen, Simulieren, Testen und Implementieren elektronischer Systeme verwendet.
Es unterstützt strukturiertes Paradigma. Es unterstützt strukturierte und objektorientierte Paradigmen.
Verilog basiert auf der Testbench auf Modulebene. SystemVerilog basiert auf der Testbench auf Klassenebene.
Es ist als IEEE 1364 standardisiert. Es ist standardisiert als IEEE 1800-2012.
Verilog wird von der C-Sprache und der Programmiersprache Fortran beeinflusst. SystemVerilog basiert auf Verilog, VHDL und c ++ Programmiersprache.
Es hat Dateierweiterung.v oder .vh Es hat die Dateierweiterung .sv oder .svh
Es unterstützt Draht und Reg Datentyp. Es unterstützt verschiedene Datentypen wie enum, union, struct, string, class .
Es basiert auf der Hierarchie der Module. Es basiert auf Klassen.
Es wurde 1983 als proprietäre Sprache für die Hardwaremodellierung gestartet. Es war ursprünglich als Erweiterung von Verilog im Jahr 2005 gedacht.

Artikelnummer: