GeeksforGeeks

1. Verilog:
Verilog est un langage de description de matériel (HDL). C’est un langage informatique qui est utilisé pour décrire la structure et le comportement des circuits électroniques. En 1983, le langage Verilog a commencé en tant que langage propriétaire pour la modélisation matérielle chez Gateway Design Automation Inc., puis il est devenu la norme IEEE 1364 en 1995 et a commencé à être plus largement utilisé. Verilog est basé sur un banc d’essai au niveau du module.

2. Systemvérifier :
SystemVerilog est une combinaison du Langage de Description du Matériel (HDL) et du Langage de Vérification du Matériel (HVL) et est appelée HDVL. Des moyens il décrit la structure et le comportement des circuits électroniques ainsi qu’il vérifie les circuits électroniques écrits dans un Langage de Description Matérielle. SystemVerilog agit comme un surensemble de Verilog avec beaucoup d’extensions au langage Verilog en 2005 et est devenu la norme IEEE 1800 et à nouveau mis à jour en 2012 en tant que norme IEEE 1800-2012. SystemVerilog est basé sur un banc de test au niveau de la classe qui est de nature plus dynamique.

Différence entre Verilog et SystemVerilog :

S.No . VERILOG SYSTEMVERILOG
Verilog est un langage de description de matériel (HDL). SystemVerilog est une combinaison du Langage de Description Matérielle (HDL) et du Langage de Vérification Matérielle (HVL).
Le langage Verilog est utilisé pour structurer et modéliser des systèmes électroniques. Systemle langage Verilog est utilisé pour modéliser, concevoir, simuler, tester et implémenter un système électronique.
Il prend en charge le paradigme structuré. Il prend en charge le paradigme structuré et orienté objet.
Verilog est basé sur un banc d’essai au niveau du module. SystemVerilog est basé sur un banc d’essai de niveau classe.
Il est normalisé comme IEEE 1364. Il est normalisé comme IEEE 1800-2012.
Verilog est influencé par le langage C et le langage de programmation Fortran. SystemVerilog est basé sur le langage de programmation Verilog, VHDL et c++.
Il a une extension de fichier.v ou.vh Il a l’extension de fichier .sv or.svh
Il prend en charge le type de données Wire et Reg. Il prend en charge divers types de données comme enum, union, struct, string, class.
Il est basé sur la hiérarchie des modules. Il est basé sur des classes.
Il a été lancé en 1983 en tant que langage propriétaire pour la modélisation matérielle. Il était initialement prévu comme une extension de Verilog en 2005.

Mots clés de l’article: