GeeksforGeeks

1. Verilog:
Verilogはハードウェア記述言語(HDL)です。 これは、電子回路の構造と動作を記述するために使用されるコンピュータ言語です。 Verilog言語は1983年にGateway Design Automation Incでハードウェアモデリングのための独自の言語として開始され、後に1995年にIEEE標準1364となり、より広く使用され始めました。 Verilogはモジュールレベルのテストベンチに基づいています。

2. SystemVerilog :
SystemVerilogは、ハードウェア記述言語(HDL)とハードウェア検証言語(HVL)の両方を組み合わせたもので、HDVLと呼ばれています。 これは、電子回路の構造と動作を記述するだけでなく、ハードウェア記述言語で書かれた電子回路を検証することを意味します。 SystemVerilogはVerilogのスーパーセットとして機能し、2005年にVerilog言語に多くの拡張が行われ、IEEE standard1800となり、2012年にIEEE1800-2012標準として再び更新されました。 SystemVerilogは、本質的により動的なクラスレベルのテストベンチに基づいています。

VerilogとSystemVerilogの違い:

S.No. VERILOG SYSTEMVERILOG
Verilogはハードウェア記述言語(HDL)です。 SystemVerilogは、ハードウェア記述言語(HDL)とハードウェア検証言語(HVL)の両方を組み合わせたものです。
Verilog言語は、電子システムを構造化およびモデル化するために使用されます。 SystemVerilog言語は、電子システムのモデル化、設計、シミュレート、テスト、実装に使用されます。
構造化されたパラダイムをサポートします。 構造化およびオブジェクト指向のパラダイムをサポートします。
Verilogはモジュールレベルのテストベンチに基づいています。 SystemVerilogはクラスレベルのテストベンチに基づいています。
これはIEEE1364として標準化されています。 IEEE1800-2012として標準化されています。
VerilogはC言語とFortranプログラミング言語の影響を受けています。 SystemVerilogはVerilog、VHDLおよびc++プログラミング言語に基づいています。
それはファイル拡張子を持っています。vまたは.vh ファイル拡張子は.svまたはです。svh
それはワイヤーおよびRegのdatatypeを支えます。 enum、union、struct、string、classなどのさまざまなデータ型をサポートしています。
これは、モジュールの階層に基づいています。 それはクラスに基づいています。
これは、ハードウェアモデリングのための独自の言語として1983年に開始されました。 もともとは2005年にVerilogの拡張として意図されていました。

記事タグ: